首页 理论教育 Vivado创建工程步骤及概览

Vivado创建工程步骤及概览

时间:2023-10-28 理论教育 版权反馈
【摘要】:在桌面双击Vivado 2019.2 软件图标,启动Vivado 2019.2 集成开发环境,如图2.16 所示,在分组下,单击选项;或者在主菜单下,选择→→【New…图2.16选择“Create Project”选项图2.17“New Project-Create a New Vivado Project”界面图2.18设置工程名和路径① Project name(工程名):led。图2.19工程类型设置添加源文件。图2.21选择目标器件图2.22新工程信息概览图2.23成功创建新工程Vivado 环境界面

Vivado创建工程步骤及概览

(1)在桌面双击Vivado 2019.2 软件图标,启动Vivado 2019.2 集成开发环境,如图2.16 所示,在【Quick Start】分组下,单击【Create Project】(创建工程)选项;或者在主菜单下,选择【File】→【Project】→【New…】,进入图2.17 所示“New Project—Create a New Vivado Project”对话框界面。

(2)在图2.17 所示的界面中单击【Next】进入图2.18 所示的新工程名和路径设置对话框,设计者根据设计需要给出工程名字和指定工程存放路径,注意命名和路径不能出现中文字符,否则可能会导致后续处理时产生错误。在此设计中按如下参数设置,然后单击【Next】。

图2.16 选择“Create Project”选项

图2.17 “New Project-Create a New Vivado Project”界面

图2.18 设置工程名和路径

① Project name(工程名):led。

② Project location(工程存放路径):D:/xilinx_project。

③ 勾选“Create project subdirectory”复选框:自动在工程路径文件夹下建立工程名相同的子目录文件夹。

(3)在图2.19 所示的工程类型设置对话框中,选择【RTL Project】,然后单击【Next】。

(www.xing528.com)

图2.19 工程类型设置

(4)添加源文件(设计文件和约束文件)。此处不指定(等工程建完另行创建)直接单击【Next】(见图2.20)。

图2.20 指定源文件

(5)器件选择。为新工程准确指定使用FPGA 的型号,此项目实现的硬件平台是以Zynq-7000 系列的xc7z010clg400-1 FPGA 芯片。为快速找到目标器件,可以通过设置过滤条件,通过下拉框选择图2.21 所示界面的参数,选中xc7z010clg400-1,然后单击【Next】。

(6)图2.22 所示为新建工程信息概览,包括工程名、设计文件是否添加、器件信息等,直接单击【Finish】,进入图2.23 所示Vivado 新工程建立后的环境界面。

图2.21 选择目标器件

图2.22 新工程信息概览

图2.23 成功创建新工程Vivado 环境界面

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈